Search found 1 match

by Nuddy
Wed Nov 04, 2015 5:38 pm
Forum: Altera
Topic: LC MAXII Altera EPM240 CPLD development board (HCDVBD0006)
Replies: 15
Views: 53154

Re: LC MAXII Altera EPM240 CPLD development board (HCDVBD000

Got an idea that it's a complete oscillator - not just a quartz - that's why there's only one connection (and a +vcc).
Gordon

Go to advanced search